Verilog
  url
  pkgsrc
  and2
  7seg
    7seg.v
    test.v
    compile
    exec
  4 bit counter
  16bit counter

software
Last Update: "2014/08/08 19:29:07 makoto"

Verilog

url

Icarus Verilog
http://iverilog.icarus.com/
Verilog HDL 入門
http://cas.eedept.kobe-u.ac.jp/~arai/Verilog/
Opencores.
http://japanese.sugawara-systems.com/opencores.htm
H8 Micro computer
http://japanese.sugawara-systems.com/opencores/H8/framepage1.htm
Welcome To Verilog Page
http://www.asic-world.com/verilog/
H8/300シリーズ、H8/300Lシリーズ
http://japan.renesas.com/support/seminar/sample_program/sample_h83/

pkgsrc

packages
http://www.ki.nu/software/packages/
Package VersionDate
cad/verilog-current 2009/09/23
cad/verilog 0.97 2013/08/19
wip/verilog-current 2015/01/05 2013/08/27
cad/gtkwave 3.3.64
Last Update: Wed, 01 Apr 2015 02:38:26 GMT 1.66 2008/03/08